summaryrefslogtreecommitdiff
path: root/build-aux
diff options
context:
space:
mode:
authorRicardo Wurmus <rekado@elephly.net>2021-04-08 02:59:55 +0200
committerRicardo Wurmus <rekado@elephly.net>2021-04-08 03:10:14 +0200
commit56270c1275d8dcdec80c04c032079b694204052a (patch)
tree00091aed9b6b98ca903f1000d5efcdc1a32168fb /build-aux
parentc8c3afe8485bd614692f13e1e8a4200136da1302 (diff)
etc/committer: Define delay duration as a variable.
* etc/committer.scm.in (%delay): New variable. (main): Use it.
Diffstat (limited to 'build-aux')
0 files changed, 0 insertions, 0 deletions